Dimensions
5 HP
40 mm deep
Current Draw
80 mA +12V
? mA -12V
? mA 5V
Price
$212 Price in €

This Module is currently available.

MIDI clock divider

The "μMCLK Divider" module can either work as a MIDI clock divider or as an analog clock divider. The divider outputs are assigned differently in both operating modes.

After receiving a MIDI start command, the module works as a MIDI clock divider. There are fixed dividers on 11 outputs. Via the divider output KEYS, triggers can be triggered and mixed via MIDI notes. The keyboard zone extends over three octaves. With the help of the LEARN key, the receive MIDI channel and the lowest note of this 3-octave range can be defined.

When stopped (i.e. after receiving a MIDI stop command or after switching on), the module works as an analog clock divider and divides the analog clock present at the CLOCK input. The 12 analog divider outputs can be reprogrammed via SYSEX. Ready-made SYSEX files are already available (e.g. for even / odd dividers, Fibonacci series, offbeats, etc.). The RESET input resets the analog counters or serves to deactivate the analog divider.

Connections:
- Ribbon cable adapter for Doepfer bus +/-12Volt
- Inputs: 2x Clock/Gate,Reset (0/+5..10V), 1/8th inch mono jacks
- 1x MIDI (TRS-B standard) 1/8th inch stereo jack Outputs: 1x MIDI (TRS-B standard) 1/8th inch stereo jack
- 14x Clock/Gate Divider, 1/8th inch mono jacks

Control elements:
- 1 push button with LED (LEARN key) 16 LED’s
- Current consumption: max. +70..80mA / - 0 mA
- Size: Euro rack format 3U / 6HP 30x128,5x40 mm

https://www.facebook.com/739355783130769/photos/a.932499330483079/943227532743592/?type=3&theater


submitted Nov 30th 2019, 20:12 by cds | last Change Feb 3rd 2021, 20:13 by mikeleebirds

2 Users are observing this

Affiliate Disclosure
This page contains affiliate links from eBay, Reverb.com and other partners for which ModularGrid may be compensated.

Canada

Nightlife ElectronicsMoog Audio

USA

Detroit ModularPatchwerks

Australia

Found Sound

These merchants probably sell this module. Huh?