Thank you! I actually manage to figure it out after some time owning the module by using the pulse instead of end, wich was indeed really unstable/narrow.